Commit 09ceeced authored by ywj's avatar ywj

Initial commit

parent 6812b06a
...@@ -26,3 +26,22 @@ launch_runs impl_1 -jobs 16 ...@@ -26,3 +26,22 @@ launch_runs impl_1 -jobs 16
wait_on_run impl_1 wait_on_run impl_1
launch_runs impl_1 -to_step write_bitstream -jobs 16 launch_runs impl_1 -to_step write_bitstream -jobs 16
wait_on_run impl_1 wait_on_run impl_1
reset_run synth_1
launch_runs impl_1 -jobs 16
wait_on_run impl_1
close_design
open_run synth_1 -name synth_1
create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]
report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
refresh_design
create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]
refresh_design
reset_run synth_1
launch_runs synth_1 -jobs 16
wait_on_run synth_1
launch_runs impl_1 -jobs 16
wait_on_run impl_1
report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
open_run impl_1
launch_runs impl_1 -to_step write_bitstream -jobs 16
wait_on_run impl_1
...@@ -121,3 +121,112 @@ Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CM ...@@ -121,3 +121,112 @@ Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CM
launch_runs impl_1 -to_step write_bitstream -jobs 16 launch_runs impl_1 -to_step write_bitstream -jobs 16
[Sat Sep 23 15:21:23 2023] Launched impl_1... [Sat Sep 23 15:21:23 2023] Launched impl_1...
Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/impl_1/runme.log Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/impl_1/runme.log
reset_run synth_1
INFO: [Project 1-1161] Replacing file E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/utils_1/imports/synth_1/uart_top.dcp with file E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/synth_1/uart_top.dcp
launch_runs impl_1 -jobs 16
[Sat Sep 23 15:29:36 2023] Launched synth_1...
Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/synth_1/runme.log
[Sat Sep 23 15:29:36 2023] Launched impl_1...
Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/impl_1/runme.log
close_design
open_run synth_1 -name synth_1
Design is defaulting to impl run constrset: constrs_1
Design is defaulting to synth run part: xc7a35tfgg484-2
INFO: [Project 1-454] Reading design checkpoint 'e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/FIFO_Gen/FIFO_Gen.dcp' for cell 'FIFO'
INFO: [Project 1-454] Reading design checkpoint 'e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.dcp' for cell 'clk_wiz'
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.007 . Memory (MB): peak = 2947.160 ; gain = 0.000
INFO: [Netlist 29-17] Analyzing 93 Unisim elements for replacement
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
INFO: [Project 1-479] Netlist was created with Vivado 2023.1
INFO: [Project 1-570] Preparing netlist for logic optimization
Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/FIFO_Gen/FIFO_Gen.xdc] for cell 'FIFO/U0'
Finished Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/FIFO_Gen/FIFO_Gen.xdc] for cell 'FIFO/U0'
Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m_board.xdc] for cell 'clk_wiz/inst'
Finished Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m_board.xdc] for cell 'clk_wiz/inst'
Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc] for cell 'clk_wiz/inst'
INFO: [Timing 38-35] Done setting XDC timing constraints. [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc:57]
INFO: [Timing 38-2] Deriving generated clocks [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc:57]
Finished Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc] for cell 'clk_wiz/inst'
Parsing XDC File [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc]
CRITICAL WARNING: [Common 17-165] Too many positional options when parsing 'clk', please type 'create_clock -help' for usage info. [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc:1]
Finished Parsing XDC File [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc]
INFO: [Project 1-1714] 2 XPM XDC files have been applied to the design.
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2947.160 ; gain = 0.000
INFO: [Project 1-111] Unisim Transformation Summary:
No Unisim elements were transformed.
create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]
INFO: [Timing 38-35] Done setting XDC timing constraints.
INFO: [Timing 38-2] Deriving generated clocks
WARNING: [Constraints 18-619] A clock with name 'clk' already exists, overwriting the previous clock with the same name.
report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2, Delay Type: min_max.
INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
refresh_design
INFO: [Project 1-570] Preparing netlist for logic optimization
Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/FIFO_Gen/FIFO_Gen.xdc] for cell 'FIFO/U0'
Finished Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/FIFO_Gen/FIFO_Gen.xdc] for cell 'FIFO/U0'
Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m_board.xdc] for cell 'clk_wiz/inst'
Finished Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m_board.xdc] for cell 'clk_wiz/inst'
Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc] for cell 'clk_wiz/inst'
INFO: [Timing 38-35] Done setting XDC timing constraints. [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc:57]
INFO: [Timing 38-2] Deriving generated clocks [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc:57]
Finished Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc] for cell 'clk_wiz/inst'
Parsing XDC File [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc]
Finished Parsing XDC File [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc]
INFO: [Project 1-1714] 2 XPM XDC files have been applied to the design.
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]
refresh_design
INFO: [Project 1-570] Preparing netlist for logic optimization
Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/FIFO_Gen/FIFO_Gen.xdc] for cell 'FIFO/U0'
Finished Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/FIFO_Gen/FIFO_Gen.xdc] for cell 'FIFO/U0'
Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m_board.xdc] for cell 'clk_wiz/inst'
Finished Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m_board.xdc] for cell 'clk_wiz/inst'
Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc] for cell 'clk_wiz/inst'
INFO: [Timing 38-35] Done setting XDC timing constraints. [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc:57]
INFO: [Timing 38-2] Deriving generated clocks [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc:57]
Finished Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc] for cell 'clk_wiz/inst'
Parsing XDC File [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc]
WARNING: [Constraints 18-619] A clock with name 'clk' already exists, overwriting the previous clock with the same name. [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc:1]
Finished Parsing XDC File [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc]
INFO: [Project 1-1714] 2 XPM XDC files have been applied to the design.
INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
reset_run synth_1
INFO: [Project 1-1161] Replacing file E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/utils_1/imports/synth_1/uart_top.dcp with file E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/synth_1/uart_top.dcp
launch_runs synth_1 -jobs 16
[Sat Sep 23 15:46:07 2023] Launched synth_1...
Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/synth_1/runme.log
launch_runs impl_1 -jobs 16
WARNING: [Project 1-478] Design 'synth_1' is stale and will not be used when launching 'impl_1'
[Sat Sep 23 15:46:47 2023] Launched impl_1...
Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/impl_1/runme.log
report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
INFO: [Timing 38-35] Done setting XDC timing constraints.
INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2, Delay Type: min_max.
INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
open_run impl_1
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.007 . Memory (MB): peak = 3392.863 ; gain = 0.000
INFO: [Netlist 29-17] Analyzing 91 Unisim elements for replacement
INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
INFO: [Project 1-479] Netlist was created with Vivado 2023.1
INFO: [Project 1-570] Preparing netlist for logic optimization
INFO: [Timing 38-478] Restoring timing data from binary archive.
INFO: [Timing 38-479] Binary timing data restore complete.
INFO: [Project 1-856] Restoring constraints from binary archive.
INFO: [Project 1-853] Binary constraint restore complete.
Reading XDEF placement.
Reading placer database...
Reading XDEF routing.
Read XDEF Files: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.059 . Memory (MB): peak = 3398.492 ; gain = 0.000
Restored from archive | CPU: 1.000000 secs | Memory: 0.000000 MB |
Finished XDEF File Restore: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.059 . Memory (MB): peak = 3398.492 ; gain = 0.000
Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 3398.492 ; gain = 0.000
INFO: [Project 1-111] Unisim Transformation Summary:
No Unisim elements were transformed.
CRITICAL WARNING: [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations.
launch_runs impl_1 -to_step write_bitstream -jobs 16
[Sat Sep 23 15:48:42 2023] Launched impl_1...
Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/impl_1/runme.log
/*
AMD Vivado v2023.1 (64-bit) [Major: 2023, Minor: 1]
SW Build: 3865809 on Sun May 7 15:05:29 MDT 2023
IP Build: 3864474 on Sun May 7 20:36:21 MDT 2023
IP Build: 3864474 on Sun May 7 20:36:21 MDT 2023
Process ID (PID): 25872
License: Customer
Mode: GUI Mode
Current time: Sat Sep 23 14:59:19 CST 2023
Time zone: China Standard Time (Asia/Shanghai)
OS: Windows 11
OS Version: 10.0
OS Architecture: amd64
Available processors (cores): 32
Screen size: 2560x1600
Screen resolution (DPI): 150
Available screens: 1
Default font: family=Dialog,name=Dialog,style=plain,size=18
Scale size: 27
OS font scaling: 150%
Java version: 17.0.3 64-bit
JavaFX version: 17.0.1
Java home: D:/Xilinx/Vivado/2023.1/tps/win64/jre17.0.3_7
Java executable: D:/Xilinx/Vivado/2023.1/tps/win64/jre17.0.3_7/bin/java.exe
Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.d3d=false, -Dsun.awt.nopixfmt=true, -Dsun.java2d.dpiaware=true, -Dsun.java2d.uiScale.enabled=false, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, -XX:+UseStringDeduplication, -XX:MaxGCPauseMillis=200, -XX:+ParallelRefProcEnabled, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, -XX:NewSize=80m, -XX:MaxNewSize=80m, -Xms512m, -Xmx4072m, -Xss10m]
Java initial memory (-Xms): 512 MB
Java maximum memory (-Xmx): 3 GB
User name: ye
User home directory: C:/Users/ye
User working directory: E:/Verilog/Project/UART_LED_CMD_V2
User country: CN
User language: zh
User locale: zh_CN
RDI_BASEROOT: D:/Xilinx/Vivado
HDI_APPROOT: D:/Xilinx/Vivado/2023.1
RDI_DATADIR: D:/Xilinx/Vivado/2023.1/data
RDI_BINDIR: D:/Xilinx/Vivado/2023.1/bin
Vivado preferences file: C:/Users/ye/AppData/Roaming/Xilinx/Vivado/2023.1/vivado.xml
Vivado preferences directory: C:/Users/ye/AppData/Roaming/Xilinx/Vivado/2023.1/
Vivado layouts directory: C:/Users/ye/AppData/Roaming/Xilinx/Vivado/2023.1/data/layouts
PlanAhead jar file: D:/Xilinx/Vivado/2023.1/lib/classes/planAhead.jar
Vivado log file: E:/Verilog/Project/UART_LED_CMD_V2/vivado.log
Vivado journal file: E:/Verilog/Project/UART_LED_CMD_V2/vivado.jou
Engine tmp dir: E:/Verilog/Project/UART_LED_CMD_V2/.Xil/Vivado-25872-ye
Non-Default Parameters: []
Xilinx & AMD Environment Variables
--------------------------------------------------------------------------------------------
JAVA_HOME: D:\Coding Environment\JDK 20.01
RDI_APPROOT: D:/Xilinx/Vivado/2023.1
RDI_ARGS: -gui_launcher_event rodinguilauncherevent6116 "E:\Verilog\Project\UART_LED_CMD_V2\UART_LED_CMD_V1.xpr"
RDI_ARGS_FUNCTION: RDI_EXEC_DEFAULT
RDI_BASEROOT: D:/Xilinx/Vivado
RDI_BINDIR: D:/Xilinx/Vivado/2023.1/bin
RDI_BINROOT: D:/Xilinx/Vivado/2023.1/bin
RDI_BUILD: yes
RDI_CHECK_PROG: True
RDI_DATADIR: D:/Xilinx/Vivado/2023.1/data
RDI_INSTALLROOT: D:/Xilinx
RDI_INSTALLVER: 2023.1
RDI_INSTALLVERSION: 2023.1
RDI_ISE_PLATFORM: nt64
RDI_JAVACEFROOT: D:/Xilinx/Vivado/2023.1/tps/win64/java-cef-95.0.4638.69
RDI_JAVAFXROOT: D:/Xilinx/Vivado/2023.1/tps/win64/javafx-sdk-17.0.1
RDI_JAVAROOT: D:/Xilinx/Vivado/2023.1/tps/win64/jre17.0.3_7
RDI_JAVA_VERSION: 17.0.3_7
RDI_LIBDIR: D:/Xilinx/Vivado/2023.1/lib/win64.o
RDI_MINGW_LIB: D:/Xilinx/Vivado/2023.1\tps\mingw\6.2.0\win64.o\nt\bin;D:/Xilinx/Vivado/2023.1\tps\mingw\6.2.0\win64.o\nt\libexec\gcc\x86_64-w64-mingw32\6.2.0
RDI_OPT_EXT: .o
RDI_PLATFORM: win64
RDI_PREPEND_PATH: D:/Xilinx/Vivado/2023.1/ids_lite/ISE/bin/nt64;D:/Xilinx/Vivado/2023.1/ids_lite/ISE/lib/nt64
RDI_PROG: D:/Xilinx/Vivado/2023.1/bin/unwrapped/win64.o/vivado.exe
RDI_PROGNAME: vivado.exe
RDI_PYTHON3: D:/Xilinx/Vivado/2023.1\tps\win64\python-3.8.3
RDI_PYTHON3_VERSION: 3.8.3
RDI_PYTHONHOME: D:/Xilinx/Vivado/2023.1\tps\win64\python-3.8.3
RDI_PYTHONPATH: D:/Xilinx/Vivado/2023.1\tps\win64\python-3.8.3;D:/Xilinx/Vivado/2023.1\tps\win64\python-3.8.3\bin;D:/Xilinx/Vivado/2023.1\tps\win64\python-3.8.3\lib;D:/Xilinx/Vivado/2023.1\tps\win64\python-3.8.3\lib\site-packages
RDI_PYTHON_LD_LIBPATH: D:/Xilinx/Vivado/2023.1\tps\win64\python-3.8.3\lib
RDI_SESSION_INFO: E:\Verilog\Project\UART_LED_CMD_V2:YE-2023-09-23_14-59-09.89
RDI_SHARED_DATA: D:/Xilinx/SharedData/2023.1/data
RDI_TPS_ROOT: D:/Xilinx/Vivado/2023.1/tps/win64
RDI_USE_JDK17: True
RDI_VERBOSE: False
XILINX: D:/Xilinx/Vivado/2023.1/ids_lite/ISE
XILINX_DSP: D:/Xilinx/Vivado/2023.1/ids_lite/ISE
XILINX_HLS: D:/Xilinx/Vitis_HLS/2023.1
XILINX_PLANAHEAD: D:/Xilinx/Vivado/2023.1
XILINX_VIVADO: D:/Xilinx/Vivado/2023.1
XILINX_VIVADO_HLS: D:/Xilinx/Vivado/2023.1
_RDI_BINROOT: D:\Xilinx\Vivado\2023.1\bin
_RDI_CWD: E:\Verilog\Project\UART_LED_CMD_V2
GUI allocated memory: 512 MB
GUI max memory: 4,072 MB
Engine allocated memory: 1,070 MB
Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved.
*/
// TclEventType: START_GUI
// TclEventType: PROJECT_OPEN_DIALOG
// Tcl Message: start_gui
// TclEventType: PROJECT_OPEN_DIALOG
// Opening Vivado Project: E:\Verilog\Project\UART_LED_CMD_V2\UART_LED_CMD_V1.xpr. Version: Vivado v2023.1
// TclEventType: DEBUG_PROBE_SET_CHANGE
// TclEventType: FLOW_ADDED
// Tcl Message: open_project E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.xpr
// TclEventType: MSGMGR_MOVEMSG
// TclEventType: FILE_SET_CHANGE
// TclEventType: FILE_SET_NEW
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_CURRENT
// TclEventType: PROJECT_DASHBOARD_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: PROJECT_DASHBOARD_GADGET_NEW
// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
// TclEventType: FILE_SET_CHANGE
// TclEventType: PROJECT_NEW
// [GUI Memory]: 133 MB (+137398kb) [00:00:08]
// [Engine Memory]: 1,133 MB (+1036339kb) [00:00:08]
// [Engine Memory]: 1,199 MB (+10530kb) [00:00:09]
// WARNING: HEventQueue.dispatchEvent() is taking 1670 ms.
// Tcl Message: open_project E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.xpr
// Tcl Message: INFO: [Project 1-313] Project file moved from 'E:/Verilog/Project/UART_LED_CMD_V1' since last save.
// Tcl Message: Scanning sources... Finished scanning sources
// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'D:/Xilinx/Vivado/2023.1/data/ip'.
// HMemoryUtils.trashcanNow. Engine heap size: 1,288 MB. GUI used memory: 86 MB. Current time: 9/23/23, 2:59:21 PM CST
// [Engine Memory]: 1,289 MB (+30879kb) [00:00:09]
// Tcl Message: open_project: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 1779.324 ; gain = 573.789
// Project name: UART_LED_CMD_V1; location: E:/Verilog/Project/UART_LED_CMD_V2; part: xc7a35tfgg484-2
dismissDialog("Open Project"); // bq
// [GUI Memory]: 164 MB (+25554kb) [00:00:11]
// Tcl Message: update_compile_order -fileset sources_1
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1, true); // E - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1, true, false, false, false, false, true); // E - Double Click - Node
selectCodeEditor("uart_top.v", 265, 336); // ad
// Elapsed time: 38 seconds
selectCodeEditor("uart_top.v", 291, 254); // ad
selectCodeEditor("uart_top.v", 283, 420); // ad
selectCodeEditor("uart_top.v", 192, 395); // ad
selectCodeEditor("uart_top.v", 323, 295); // ad
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
selectCodeEditor("uart_top.v", 329, 323); // ad
// Elapsed Time for: 'L.f': 01m:10s
// Elapsed Time for: 'L.f': 01m:12s
// Elapsed time: 10 seconds
selectCodeEditor("uart_top.v", 77, 146); // ad
selectCodeEditor("uart_top.v", 77, 146, false, false, false, false, true); // ad - Double Click
selectCodeEditor("uart_top.v", 73, 155); // ad
selectCodeEditor("uart_top.v", 73, 155, false, false, false, false, true); // ad - Double Click
typeControlKey((HResource) null, "uart_top.v", 'c'); // ad
setText(RDIResource.HCodeEditor_SEARCH_TEXT_COMBO_BOX, "recv"); // d.c
selectCodeEditor("uart_top.v", 79, 149); // ad
selectCodeEditor("uart_top.v", 79, 149, false, false, false, false, true); // ad - Double Click
selectCodeEditor("uart_top.v", 77, 141); // ad
selectCodeEditor("uart_top.v", 77, 141, false, false, false, false, true); // ad - Double Click
selectCodeEditor("uart_top.v", 60, 150); // ad
typeControlKey((HResource) null, "uart_top.v", 'c'); // ad
selectCodeEditor("uart_top.v", 77, 584); // ad
selectCodeEditor("uart_top.v", 77, 584, false, false, false, false, true); // ad - Double Click
typeControlKey((HResource) null, "uart_top.v", 'v'); // ad
selectCodeEditor("uart_top.v", 340, 502); // ad
selectCodeEditor("uart_top.v", 110, 180); // ad
selectCodeEditor("uart_top.v", 110, 180, false, false, false, false, true); // ad - Double Click
selectCodeEditor("uart_top.v", 65, 174); // ad
typeControlKey((HResource) null, "uart_top.v", 'c'); // ad
typeControlKey((HResource) null, "uart_top.v", 'c'); // ad
selectCodeEditor("uart_top.v", 123, 551); // ad
selectCodeEditor("uart_top.v", 123, 551, false, false, false, false, true); // ad - Double Click
typeControlKey((HResource) null, "uart_top.v", 'v'); // ad
selectCodeEditor("uart_top.v", 566, 385); // ad
selectCodeEditor("uart_top.v", 126, 552); // ad
selectCodeEditor("uart_top.v", 463, 459); // ad
// Elapsed time: 10 seconds
setText(RDIResource.HCodeEditor_SEARCH_TEXT_COMBO_BOX, "Transout"); // d.c
selectCodeEditor("uart_top.v", 242, 149); // ad
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 02m:22s
// Elapsed Time for: 'L.f': 02m:24s
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 9, true); // E - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 9, true, false, false, false, false, true); // E - Double Click - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false, false, false, false, false, true); // E - Double Click
selectCodeEditor("fpga_pin.xdc", 374, 111); // ad
selectCodeEditor("fpga_pin.xdc", 374, 111, false, false, false, false, true); // ad - Double Click
selectCodeEditor("fpga_pin.xdc", 422, 148); // ad
selectCodeEditor("fpga_pin.xdc", 422, 148, false, false, false, false, true); // ad - Double Click
selectCodeEditor("fpga_pin.xdc", 548, 241); // ad
selectCodeEditor("fpga_pin.xdc", 418, 392); // ad
selectCodeEditor("fpga_pin.xdc", 418, 392, false, false, false, false, true); // ad - Double Click
typeControlKey((HResource) null, "fpga_pin.xdc", 'c'); // ad
selectCodeEditor("fpga_pin.xdc", 458, 412); // ad
selectCodeEditor("fpga_pin.xdc", 458, 412, false, false, false, false, true); // ad - Double Click
selectCodeEditor("fpga_pin.xdc", 545, 326); // ad
// TclEventType: FILE_SET_CHANGE
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1, true); // E - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1, true, false, false, false, false, true); // E - Double Click - Node
selectCodeEditor("uart_top.v", 525, 227); // ad
selectCodeEditor("uart_top.v", 2, 480); // ad
selectCodeEditor("uart_top.v", 434, 453); // ad
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 03m:02s
selectCodeEditor("uart_top.v", 434, 449); // ad
// Elapsed Time for: 'L.f': 03m:04s
selectCodeEditor("uart_top.v", 605, 394); // ad
selectCodeEditor("uart_top.v", 187, 388); // ad
selectCodeEditor("uart_top.v", 214, 423); // ad
selectCodeEditor("uart_top.v", 432, 284); // ad
selectCodeEditor("uart_top.v", 151, 495); // ad
selectCodeEditor("uart_top.v", 64, 504); // ad
selectCodeEditor("uart_top.v", 230, 446); // ad
// Elapsed time: 11 seconds
selectCodeEditor("uart_top.v", 367, 370); // ad
// Elapsed time: 13 seconds
selectCodeEditor("uart_top.v", 31, 556); // ad
selectCodeEditor("uart_top.v", 7, 552); // ad
selectCodeEditor("uart_top.v", 289, 567); // ad
selectCodeEditor("uart_top.v", 270, 416); // ad
selectCodeEditor("uart_top.v", 77, 213); // ad
selectCodeEditor("uart_top.v", 110, 278); // ad
selectCodeEditor("uart_top.v", 124, 250); // ad
selectCodeEditor("uart_top.v", 431, 251); // ad
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 04m:14s
// Elapsed Time for: 'L.f': 04m:16s
// Elapsed time: 14 seconds
selectCodeEditor("uart_top.v", 375, 270); // ad
selectCodeEditor("uart_top.v", 35, 604); // ad
selectCodeEditor("uart_top.v", 30, 328); // ad
selectCodeEditor("uart_top.v", 410, 315); // ad
selectCodeEditor("uart_top.v", 456, 235); // ad
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 04m:38s
expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1); // E
// Elapsed Time for: 'L.f': 04m:40s
selectCodeEditor("uart_top.v", 370, 220, false, false, false, true, false); // ad - Popup Trigger
selectCodeEditor("uart_top.v", 243, 272); // ad
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), clk100mTo9600 : baud_rate_gen (baud_rate_gen.v)]", 3, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), clk100mTo9600 : baud_rate_gen (baud_rate_gen.v)]", 3, false, false, false, false, false, true); // E - Double Click
selectCodeEditor("baud_rate_gen.v", 102, 261); // ad
selectCodeEditor("baud_rate_gen.v", 184, 530); // ad
selectCodeEditor("baud_rate_gen.v", 32, 200); // ad
selectCodeEditor("baud_rate_gen.v", 37, 364); // ad
typeControlKey(null, null, 'z');
typeControlKey(null, null, 'z');
selectCodeEditor("baud_rate_gen.v", 173, 343); // ad
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 05m:16s
selectCodeEditor("baud_rate_gen.v", 746, 389); // ad
// Elapsed Time for: 'L.f': 05m:18s
selectCodeEditor("baud_rate_gen.v", 764, 221); // ad
selectCodeEditor("baud_rate_gen.v", 724, 229); // ad
selectCodeEditor("baud_rate_gen.v", 50, 159); // ad
selectCodeEditor("baud_rate_gen.v", 40, 157); // ad
selectCodeEditor("baud_rate_gen.v", 29, 311); // ad
selectCodeEditor("baud_rate_gen.v", 43, 420); // ad
selectCodeEditor("baud_rate_gen.v", 745, 368); // ad
selectCodeEditor("baud_rate_gen.v", 876, 267); // ad
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 05m:46s
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), Recv : Receiver (Receiver.v)]", 4, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), Recv : Receiver (Receiver.v)]", 4, false, false, false, false, false, true); // E - Double Click
selectCodeEditor("Receiver.v", 310, 163); // ad
// Elapsed Time for: 'L.f': 05m:48s
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), ToFIFO : Recv_To_FIFO (Recv_To_FIFO.v)]", 5, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), ToFIFO : Recv_To_FIFO (Recv_To_FIFO.v)]", 5, false, false, false, false, false, true); // E - Double Click
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), Recv : Receiver (Receiver.v)]", 4, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), Recv : Receiver (Receiver.v)]", 4, false, false, false, false, false, true); // E - Double Click
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), ToFIFO : Recv_To_FIFO (Recv_To_FIFO.v)]", 5, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), ToFIFO : Recv_To_FIFO (Recv_To_FIFO.v)]", 5, false, false, false, false, false, true); // E - Double Click
selectCodeEditor("Recv_To_FIFO.v", 130, 253); // ad
selectCodeEditor("Recv_To_FIFO.v", 130, 253, false, false, false, false, true); // ad - Double Click
selectCodeEditor("Recv_To_FIFO.v", 73, 664); // ad
selectCodeEditor("Recv_To_FIFO.v", 57, 231); // ad
typeControlKey((HResource) null, "Recv_To_FIFO.v", 'v'); // ad
selectCodeEditor("Recv_To_FIFO.v", 57, 232); // ad
selectCodeEditor("Recv_To_FIFO.v", 218, 267); // ad
selectCodeEditor("Recv_To_FIFO.v", 138, 228); // ad
selectCodeEditor("Recv_To_FIFO.v", 449, 427); // ad
selectCodeEditor("Recv_To_FIFO.v", 157, 407); // ad
selectCodeEditor("Recv_To_FIFO.v", 157, 407, false, false, false, false, true); // ad - Double Click
selectCodeEditor("Recv_To_FIFO.v", 157, 407); // ad
selectCodeEditor("Recv_To_FIFO.v", 236, 375); // ad
selectCodeEditor("Recv_To_FIFO.v", 183, 369); // ad
selectCodeEditor("Recv_To_FIFO.v", 75, 173); // ad
selectCodeEditor("Recv_To_FIFO.v", 258, 174); // ad
selectCodeEditor("Recv_To_FIFO.v", 312, 207); // ad
selectCodeEditor("Recv_To_FIFO.v", 105, 273); // ad
selectCodeEditor("Recv_To_FIFO.v", 134, 281); // ad
selectCodeEditor("Recv_To_FIFO.v", 134, 281, false, false, false, false, true); // ad - Double Click
typeControlKey((HResource) null, "Recv_To_FIFO.v", 'c'); // ad
selectCodeEditor("Recv_To_FIFO.v", 83, 436); // ad
selectCodeEditor("Recv_To_FIFO.v", 83, 436, false, false, false, false, true); // ad - Double Click
selectCodeEditor("Recv_To_FIFO.v", 65, 120); // ad
selectCodeEditor("Recv_To_FIFO.v", 305, 96); // ad
selectCodeEditor("Recv_To_FIFO.v", 175, 355); // ad
selectCodeEditor("Recv_To_FIFO.v", 172, 364); // ad
selectCodeEditor("Recv_To_FIFO.v", 172, 364, false, false, false, false, true); // ad - Double Click
selectCodeEditor("Recv_To_FIFO.v", 172, 364); // ad
selectCodeEditor("Recv_To_FIFO.v", 422, 270); // ad
selectCodeEditor("Recv_To_FIFO.v", 171, 336); // ad
selectCodeEditor("Recv_To_FIFO.v", 10, 339); // ad
selectCodeEditor("Recv_To_FIFO.v", 181, 303); // ad
selectCodeEditor("Recv_To_FIFO.v", 89, 420); // ad
selectCodeEditor("Recv_To_FIFO.v", 89, 420, false, false, false, false, true); // ad - Double Click
selectCodeEditor("Recv_To_FIFO.v", 135, 478); // ad
selectCodeEditor("Recv_To_FIFO.v", 127, 474); // ad
selectCodeEditor("Recv_To_FIFO.v", 136, 475); // ad
selectCodeEditor("Recv_To_FIFO.v", 67, 495); // ad
selectCodeEditor("Recv_To_FIFO.v", 73, 441); // ad
selectCodeEditor("Recv_To_FIFO.v", 73, 441, false, false, false, false, true); // ad - Double Click
selectCodeEditor("Recv_To_FIFO.v", 76, 441); // ad
selectCodeEditor("Recv_To_FIFO.v", 208, 388); // ad
selectCodeEditor("Recv_To_FIFO.v", 174, 444); // ad
selectCodeEditor("Recv_To_FIFO.v", 163, 385); // ad
selectCodeEditor("Recv_To_FIFO.v", 188, 367); // ad
selectCodeEditor("Recv_To_FIFO.v", 295, 487); // ad
selectCodeEditor("Recv_To_FIFO.v", 63, 421); // ad
selectCodeEditor("Recv_To_FIFO.v", 68, 425); // ad
selectCodeEditor("Recv_To_FIFO.v", 64, 531); // ad
selectCodeEditor("Recv_To_FIFO.v", 95, 487); // ad
selectCodeEditor("Recv_To_FIFO.v", 63, 530); // ad
selectCodeEditor("Recv_To_FIFO.v", 67, 526); // ad
selectCodeEditor("Recv_To_FIFO.v", 590, 414); // ad
selectCodeEditor("Recv_To_FIFO.v", 657, 393); // ad
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 08m:00s
// Elapsed Time for: 'L.f': 08m:02s
selectCodeEditor("Recv_To_FIFO.v", 231, 415); // ad
selectCodeEditor("Recv_To_FIFO.v", 884, 503); // ad
selectCodeEditor("Recv_To_FIFO.v", 544, 360); // ad
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
selectCodeEditor("Recv_To_FIFO.v", 770, 242); // ad
// Elapsed Time for: 'L.f': 08m:14s
// Elapsed Time for: 'L.f': 08m:16s
typeControlKey((HResource) null, "Recv_To_FIFO.v", 'c'); // ad
selectCodeEditor("Recv_To_FIFO.v", 1179, 243); // ad
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), FIFO : FIFO_Gen (FIFO_Gen.xci)]", 6, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), led_inst : led (led.v)]", 7, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), led_inst : led (led.v)]", 7, false, false, false, false, false, true); // E - Double Click
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), Trans : Transmitter (Transmitter.v)]", 8, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), Trans : Transmitter (Transmitter.v)]", 8, false, false, false, false, false, true); // E - Double Click
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), Recv : Receiver (Receiver.v)]", 4, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), Recv : Receiver (Receiver.v)]", 4, false, false, false, false, false, true); // E - Double Click
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), clk100mTo9600 : baud_rate_gen (baud_rate_gen.v)]", 3, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), clk100mTo9600 : baud_rate_gen (baud_rate_gen.v)]", 3, false, false, false, false, false, true); // E - Double Click
selectTab((HResource) null, (HResource) null, "Messages", 1); // aa
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.6 available at D:/Xilinx/Vivado/2023.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kc705/1.6/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available. ]", 2, true); // u.d - Node
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands, General Messages, [Board 49-26] cannot add Board Part xilinx.com:kc705:part0:1.6 available at D:/Xilinx/Vivado/2023.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kc705/1.6/board.xml as part xc7k325tffg900-2 specified in board_part file is either invalid or not available. , [Board 49-26] cannot add Board Part xilinx.com:kcu105:part0:1.6 available at D:/Xilinx/Vivado/2023.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/kcu105/1.6/board.xml as part xcku040-ffva1156-2-e specified in board_part file is either invalid or not available. ]", 3, false, false, false, false, false, true); // u.d - Double Click
selectCheckBox(PAResourceItoN.MsgView_WARNING_MESSAGES, (String) null, false); // f: FALSE
// Elapsed time: 16 seconds
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, synth_1, [Synth 8-4442] BlackBox module clk_wiz has unconnected pin reset. ]", 23, false); // u.d
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), clk_wiz : clk_wiz_50mTo100m (clk_wiz_50mTo100m.xci)]", 2, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), clk_wiz : clk_wiz_50mTo100m (clk_wiz_50mTo100m.xci)]", 2, false, false, false, false, false, true); // E - Double Click
// Run Command: PAResourceCommand.PACommandNames_RECUSTOMIZE_CORE
// TclEventType: LOAD_FEATURE
// Tcl Message: INFO: [Device 21-403] Loading part xc7a35tfgg484-2
// [Engine Memory]: 1,378 MB (+25641kb) [00:09:27]
// HMemoryUtils.trashcanNow. Engine heap size: 1,621 MB. GUI used memory: 95 MB. Current time: 9/23/23, 3:08:40 PM CST
dismissDialog("Re-customize IP"); // C
// [Engine Memory]: 1,654 MB (+216964kb) [00:09:32]
dismissDialog("Re-customize IP"); // m
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1, true); // E - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1, true, false, false, false, false, true); // E - Double Click - Node
selectCodeEditor("uart_top.v", 559, 407); // ad
selectCodeEditor("uart_top.v", 300, 404); // ad
// Elapsed time: 59 seconds
selectCodeEditor("uart_top.v", 225, 299); // ad
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1, true); // E - Node
// [GUI Memory]: 182 MB (+9984kb) [00:10:44]
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1, true, false, false, false, false, true); // E - Double Click - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), clk_wiz : clk_wiz_50mTo100m (clk_wiz_50mTo100m.xci)]", 2, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), clk_wiz : clk_wiz_50mTo100m (clk_wiz_50mTo100m.xci)]", 2, false, false, false, false, false, true); // E - Double Click
// Run Command: PAResourceCommand.PACommandNames_RECUSTOMIZE_CORE
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), clk_wiz : clk_wiz_50mTo100m (clk_wiz_50mTo100m.xci)]", 2, false); // E
dismissDialog("Re-customize IP"); // C
// HMemoryUtils.trashcanNow. Engine heap size: 1,678 MB. GUI used memory: 113 MB. Current time: 9/23/23, 3:10:00 PM CST
selectTab(PAResourceTtoZ.XPG_TabbedPane_TABBED_PANE, (HResource) null, "Output Clocks", 1); // cI
selectTab(PAResourceTtoZ.XPG_TabbedPane_TABBED_PANE, (HResource) null, "Port Renaming", 2); // cI
selectTab(PAResourceTtoZ.XPG_TabbedPane_TABBED_PANE, (HResource) null, "MMCM Settings", 3); // cI
selectTab(PAResourceTtoZ.XPG_TabbedPane_TABBED_PANE, (HResource) null, "Summary", 4); // cI
selectTab(PAResourceTtoZ.XPG_TabbedPane_TABBED_PANE, (HResource) null, "Output Clocks", 1); // cI
// Elapsed time: 66 seconds
selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
dismissDialog("Re-customize IP"); // m
selectCodeEditor("uart_top.v", 457, 332); // ad
selectCodeEditor("uart_top.v", 266, 448); // ad
// Elapsed time: 12 seconds
selectCodeEditor("uart_top.v", 355, 467); // ad
selectCodeEditor("uart_top.v", 83, 334); // ad
selectCodeEditor("uart_top.v", 528, 330); // ad
// TclEventType: DG_GRAPH_STALE
// TclEventType: FILE_SET_CHANGE
// Elapsed Time for: 'L.f': 12m:18s
// Elapsed Time for: 'L.f': 12m:20s
// Elapsed time: 17 seconds
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), clk_wiz : clk_wiz_50mTo100m (clk_wiz_50mTo100m.xci)]", 2, false, false, false, false, true, false); // E - Popup Trigger
selectCodeEditor("uart_top.v", 437, 347); // ad
// Elapsed time: 10 seconds
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Project Manager, IP Catalog]", 4, false); // f
// Run Command: PAResourceCommand.PACommandNames_CORE_GEN
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), clk_wiz : clk_wiz_50mTo100m (clk_wiz_50mTo100m.xci)]", 2, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), clk_wiz : clk_wiz_50mTo100m (clk_wiz_50mTo100m.xci)]", 2, false, false, false, false, false, true); // E - Double Click
// Run Command: PAResourceCommand.PACommandNames_RECUSTOMIZE_CORE
// HMemoryUtils.trashcanNow. Engine heap size: 1,740 MB. GUI used memory: 126 MB. Current time: 9/23/23, 3:12:15 PM CST
// [Engine Memory]: 1,740 MB (+4088kb) [00:13:04]
dismissDialog("Re-customize IP"); // C
selectTab(PAResourceTtoZ.XPG_TabbedPane_TABBED_PANE, (HResource) null, "Resource", 1); // cI
selectTab(PAResourceTtoZ.XPG_TabbedPane_TABBED_PANE, PAResourceTtoZ.XPG_TopPanel_IP_SYMBOL, "IP Symbol", 0); // cI
selectTab(PAResourceTtoZ.XPG_TabbedPane_TABBED_PANE, (HResource) null, "Summary", 4); // cI
selectTab(PAResourceTtoZ.XPG_TabbedPane_TABBED_PANE, (HResource) null, "MMCM Settings", 3); // cI
selectTab(PAResourceTtoZ.XPG_TabbedPane_TABBED_PANE, (HResource) null, "Port Renaming", 2); // cI
selectTab(PAResourceTtoZ.XPG_TabbedPane_TABBED_PANE, (HResource) null, "Output Clocks", 1); // cI
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Re-customize IP"); // m
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // f
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // f
// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
// TclEventType: RUN_MODIFY
dismissDialog("Run Synthesis"); // u
// TclEventType: RUN_RESET
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_RESET
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_RESET
// TclEventType: RUN_MODIFY
// Tcl Message: reset_run synth_1
// Tcl Message: INFO: [Project 1-1161] Replacing file E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/utils_1/imports/synth_1/uart_top.dcp with file E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/synth_1/uart_top.dcp
// [GUI Memory]: 192 MB (+778kb) [00:13:21]
// TclEventType: FILE_SET_CHANGE
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Launch Runs"); // f
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_MODIFY
// [GUI Memory]: 205 MB (+3337kb) [00:13:22]
// Tcl Message: launch_runs synth_1 -jobs 16
// Tcl Message: [Sat Sep 23 15:12:34 2023] Launched synth_1... Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/synth_1/runme.log
dismissDialog("Starting Design Runs"); // bq
// TclEventType: RUN_STATUS_CHANGE
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "uart_top.v", 1); // o
selectCodeEditor("uart_top.v", 362, 254); // ad
selectTab((HResource) null, (HResource) null, "Tcl Console", 0); // aa
selectTab((HResource) null, (HResource) null, "Messages", 1); // aa
collapseTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Vivado Commands]", 0); // u.d
collapseTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis]", 1); // u.d
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// Elapsed time: 29 seconds
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
// Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Launch Runs"); // f
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_MODIFY
// Tcl Message: launch_runs impl_1 -jobs 16
// Tcl Message: [Sat Sep 23 15:13:16 2023] Launched impl_1... Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/impl_1/runme.log
dismissDialog("Starting Design Runs"); // bq
// TclEventType: RUN_STATUS_CHANGE
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, synth_1, [Common 17-165] Too many positional options when parsing 'clk', please type 'create_clock -help' for usage info. [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc:1]. ]", 13, false); // u.d
// TclEventType: RUN_STEP_COMPLETED
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// Elapsed time: 90 seconds
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
// Run Command: PAResourceCommand.PACommandNames_GOTO_IMPLEMENTED_DESIGN
// Tcl Message: open_run impl_1
// HMemoryUtils.trashcanNow. Engine heap size: 1,893 MB. GUI used memory: 127 MB. Current time: 9/23/23, 3:14:55 PM CST
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: POWER_CNS_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: FLOORPLAN_MODIFY
// TclEventType: DESIGN_NEW
// HMemoryUtils.trashcanNow. Engine heap size: 2,361 MB. GUI used memory: 127 MB. Current time: 9/23/23, 3:14:59 PM CST
// [Engine Memory]: 2,361 MB (+559315kb) [00:15:47]
// [Engine Memory]: 2,488 MB (+9490kb) [00:15:47]
// TclEventType: DESIGN_NEW
// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
// [GUI Memory]: 216 MB (+992kb) [00:15:48]
// DeviceView Instantiated
// WARNING: HEventQueue.dispatchEvent() is taking 1331 ms.
// TclEventType: CURR_DESIGN_SET
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.007 . Memory (MB): peak = 2110.500 ; gain = 0.000
// Tcl Message: INFO: [Netlist 29-17] Analyzing 91 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.1 INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Timing 38-478] Restoring timing data from binary archive. INFO: [Timing 38-479] Binary timing data restore complete. INFO: [Project 1-856] Restoring constraints from binary archive. INFO: [Project 1-853] Binary constraint restore complete.
// Tcl Message: Reading XDEF placement. Reading placer database... Reading XDEF routing.
// Tcl Message: Read XDEF Files: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.059 . Memory (MB): peak = 2717.520 ; gain = 0.000
// Tcl Message: Restored from archive | CPU: 0.000000 secs | Memory: 0.000000 MB |
// Tcl Message: Finished XDEF File Restore: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.059 . Memory (MB): peak = 2717.520 ; gain = 0.000 Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2717.520 ; gain = 0.000
// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed.
// RouteApi: Init Delay Mediator Swing Worker Finished
// Device view-level: 0.0
// TclEventType: DRC_ADDED
// Tcl Message: open_run: Time (s): cpu = 00:00:04 ; elapsed = 00:00:08 . Memory (MB): peak = 2868.332 ; gain = 757.832
// TclEventType: DRC_ADDED
// TclEventType: METHODOLOGY_ADDED
// TclEventType: POWER_UPDATED
// [GUI Memory]: 229 MB (+2123kb) [00:15:49]
// TclEventType: TIMING_SUMMARY_UPDATED
// 'dA' command handler elapsed time: 9 seconds
// Device view-level: 0.1
// Device view-level: 0.0
// Elapsed time: 10 seconds
dismissDialog("Open Implemented Design"); // bq
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Methodology Violations"); // aO
selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f
dismissDialog("Critical Messages"); // F
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Design Timing Summary]", 2, false); // a
// [GUI Memory]: 266 MB (+26832kb) [00:16:34]
// Elapsed time: 33 seconds
selectTab((HResource) null, (HResource) null, "Messages", 1); // aa
selectTab((HResource) null, (HResource) null, "Tcl Console", 0); // aa
selectTab((HResource) null, (HResource) null, "Messages", 1); // aa
// Elapsed time: 11 seconds
selectCheckBox(PAResourceItoN.MsgView_INFORMATION_MESSAGES, (String) null, false); // f: FALSE
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation, Route Design, [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations.. ]", 7, false); // u.d
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation, Route Design, [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations.. ]", 7, false); // u.d
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation, Route Design, [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations.. ]", 7, false, false, false, false, false, true); // u.d - Double Click
// Elapsed time: 11 seconds
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation, Route Design, [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations.. ]", 7, false); // u.d
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation, Route Design, [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations.. ]", 7, false, false, false, false, false, true); // u.d - Double Click
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Open Implemented Design, Report Timing Summary]", 22, false); // f
// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
// 'p' command handler elapsed time: 6 seconds
dismissDialog("Report Timing Summary"); // ag
// TclEventType: TIMING_RESULTS_STALE
// TclEventType: TIMING_SUMMARY_UPDATED
// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
// Tcl Message: INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
// Elapsed time: 11 seconds
selectButton(PAResourceTtoZ.TimingSumResultsTab_SHOW_ONLY_FAILING_CHECKS, "Timing_failingonly"); // v: TRUE
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Intra-Clock Paths, clk100m_clk_wiz_50mTo100m, Setup -1.048 ns]", 7, false); // a
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Intra-Clock Paths, clk100m_clk_wiz_50mTo100m, Setup -1.048 ns]", 7, false, false, false, false, false, true); // a - Double Click
// Elapsed time: 16 seconds
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // o
selectTab((HResource) null, (HResource) null, "Sources", 0); // aa
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), led_inst : led (led.v)]", 7, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v), led_inst : led (led.v)]", 7, false, false, false, false, false, true); // E - Double Click
// Elapsed time: 15 seconds
selectCodeEditor("led.v", 439, 501); // ad
selectCodeEditor("led.v", 439, 501, false, false, false, false, true); // ad - Double Click
selectCodeEditor("led.v", 552, 440, false, false, false, true, false); // ad - Popup Trigger
selectCodeEditor("led.v", 440, 165); // ad
selectCodeEditor("led.v", 428, 88); // ad
selectCodeEditor("led.v", 428, 88, false, false, false, false, true); // ad - Double Click
// Elapsed time: 109 seconds
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1, true); // E - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1, true); // E - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1, true, false, false, false, false, true); // E - Double Click - Node
// Elapsed time: 20 seconds
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 18, false); // f
// Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
selectButton("OptionPane.button", "OK"); // JButton
// TclEventType: RUN_MODIFY
// TclEventType: DESIGN_STALE
// TclEventType: RUN_RESET
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_RESET
// TclEventType: RUN_MODIFY
// Tcl Message: reset_run impl_1
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Launch Runs"); // f
// TclEventType: DESIGN_STALE
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_MODIFY
// Tcl Message: launch_runs impl_1 -jobs 16
// Tcl Message: [Sat Sep 23 15:19:45 2023] Launched impl_1... Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/impl_1/runme.log
// 'a' command handler elapsed time: 4 seconds
dismissDialog("Starting Design Runs"); // bq
// TclEventType: RUN_STATUS_CHANGE
// PAPropertyPanels.initPanels (Path 1) elapsed time: 0.3s
selectTable(PAResourceTtoZ.TimingItemFlatTablePanel_TABLE, "Path 1 ; -1.048158 ; 21 ; 10 ; 36 ; led_inst/CntReadCMDclk_reg[3]/C ; led_inst/SETCMD_reg[2][5]/CE ; 10.755761 ; 4.87 ; 5.8857613 ; 10.0 ; clk100m_clk_wiz_50mTo100m ; clk100m_clk_wiz_50mTo100m ; ; 0.088395976", 0, "-1.048158", 1); // e.b
// [Engine Memory]: 2,613 MB (+605kb) [00:20:42]
// HMemoryUtils.trashcanNow. Engine heap size: 2,626 MB. GUI used memory: 186 MB. Current time: 9/23/23, 3:19:56 PM CST
// TclEventType: RUN_STEP_COMPLETED
// Elapsed time: 13 seconds
selectTab((HResource) null, (HResource) null, "Tcl Console", 0); // aa
selectTab((HResource) null, (HResource) null, "Messages", 1); // aa
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, impl_1, General Messages, [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations.. ]", 8, false); // u.d
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, impl_1, General Messages, [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations.. ]", 8, false, false, false, false, false, true); // u.d - Double Click
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, impl_1, General Messages, [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations.. ]", 8, false, false, false, false, true, false); // u.d - Popup Trigger
selectMenu(PAResourceItoN.MsgTreePanel_MESSAGE_SEVERITY, "Message Severity"); // al
// TclEventType: DESIGN_STALE
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// Elapsed time: 70 seconds
selectRadioButton(PAResourceCommand.PACommandNames_RUN_BITGEN, "Generate Bitstream"); // a
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Launch Runs"); // cw
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_MODIFY
// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 16
// Tcl Message: [Sat Sep 23 15:21:23 2023] Launched impl_1... Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/impl_1/runme.log
// TclEventType: RUN_STATUS_CHANGE
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false, false, false, false, false, true); // E - Double Click
selectCodeEditor("fpga_pin.xdc", 321, 45); // ad
// Elapsed time: 18 seconds
selectCodeEditor("fpga_pin.xdc", 257, 17); // ad
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
dismissDialog("Bitstream Generation Completed"); // Q.a
// Elapsed time: 98 seconds
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // o
selectButton(PAResourceQtoS.RunGadget_SHOW_ERROR_AND_CRITICAL_WARNING_MESSAGES, "2 critical warnings"); // g
// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
// Elapsed time: 345 seconds
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false, false, false, false, false, true); // E - Double Click
selectCodeEditor("fpga_pin.xdc", 303, 19); // ad
typeControlKey((HResource) null, "fpga_pin.xdc", 'c'); // ad
selectCodeEditor("fpga_pin.xdc", 518, 283); // ad
// TclEventType: FILE_SET_CHANGE
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 18, false); // f
// Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
selectButton(RDIResource.BaseDialog_YES, "Yes"); // a
dismissDialog("Synthesis is Out-of-date"); // u
// TclEventType: RUN_MODIFY
// TclEventType: RUN_RESET
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_RESET
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_RESET
// TclEventType: RUN_MODIFY
// Tcl Message: reset_run synth_1
// Tcl Message: INFO: [Project 1-1161] Replacing file E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/utils_1/imports/synth_1/uart_top.dcp with file E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/synth_1/uart_top.dcp
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Launch Runs"); // f
// TclEventType: RUN_LAUNCH
// TclEventType: DESIGN_STALE
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_MODIFY
// Tcl Message: launch_runs impl_1 -jobs 16
// Tcl Message: [Sat Sep 23 15:29:36 2023] Launched synth_1... Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/synth_1/runme.log [Sat Sep 23 15:29:36 2023] Launched impl_1... Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/impl_1/runme.log
dismissDialog("Starting Design Runs"); // bq
// TclEventType: FILE_SET_CHANGE
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// TclEventType: DESIGN_STALE
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// Elapsed time: 90 seconds
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
// Run Command: PAResourceCommand.PACommandNames_REPORTS_WINDOW
dismissDialog("Implementation Completed"); // Q.a
selectTab((HResource) null, (HResource) null, "Messages", 1); // aa
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation, Route Design, [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations.. ]", 7, false); // u.d
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation, Route Design, [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations.. ]", 7, false, false, false, false, false, true); // u.d - Double Click
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation, Route Design, [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations.. ]", 7, false); // u.d
selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation, Route Design, [Timing 38-282] The design failed to meet the timing requirements. Please see the timing summary report for details on the timing violations.. ]", 7, false, false, false, false, false, true); // u.d - Double Click
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // o
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false, false, false, false, false, true); // E - Double Click
selectCodeEditor("fpga_pin.xdc", 380, 133); // ad
selectCodeEditor("fpga_pin.xdc", 302, 21); // ad
selectCodeEditor("fpga_pin.xdc", 538, 310); // ad
// TclEventType: FILE_SET_CHANGE
// Elapsed time: 147 seconds
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design]", 16, true); // f - Node
// Run Command: PAResourceCommand.PACommandNames_GOTO_NETLIST_DESIGN
selectButton(PAResourceAtoD.ClosePlanner_YES, "Yes"); // a
dismissDialog("Close Design"); // a.a
// TclEventType: DESIGN_CLOSE
// HMemoryUtils.trashcanNow. Engine heap size: 2,633 MB. GUI used memory: 159 MB. Current time: 9/23/23, 3:33:53 PM CST
// TclEventType: TIMING_RESULTS_UNLOAD
// Engine heap size: 2,633 MB. GUI used memory: 160 MB. Current time: 9/23/23, 3:33:54 PM CST
// TclEventType: CURR_DESIGN_SET
// Tcl Message: close_design
// TclEventType: DESIGN_CLOSE
dismissDialog("Close"); // bq
selectButton(PAResourceQtoS.StaleRunDialog_OPEN_DESIGN, "Open Design"); // a
dismissDialog("Synthesis is Out-of-date"); // bF
// Tcl Message: open_run synth_1 -name synth_1
// Tcl Message: Design is defaulting to impl run constrset: constrs_1 Design is defaulting to synth run part: xc7a35tfgg484-2
// TclEventType: READ_XDC_FILE_START
// TclEventType: READ_XDC_FILE_END
// TclEventType: READ_XDC_FILE_START
// TclEventType: READ_XDC_FILE_END
// TclEventType: READ_XDC_FILE_START
// TclEventType: POWER_CNS_STALE
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: READ_XDC_FILE_END
// TclEventType: READ_XDC_FILE_START
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: SIGNAL_MODIFY
// TclEventType: READ_XDC_FILE_END
// TclEventType: READ_XDC_FILE_START
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: READ_XDC_FILE_END
// TclEventType: FLOORPLAN_MODIFY
// TclEventType: DESIGN_NEW
// HMemoryUtils.trashcanNow. Engine heap size: 2,633 MB. GUI used memory: 138 MB. Current time: 9/23/23, 3:34:00 PM CST
// TclEventType: DESIGN_NEW
// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
// DeviceView Instantiated
// TclEventType: CURR_DESIGN_SET
// RouteApi: Init Delay Mediator Swing Worker Finished
// Device view-level: 0.0
// Tcl Message: INFO: [Project 1-454] Reading design checkpoint 'e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/FIFO_Gen/FIFO_Gen.dcp' for cell 'FIFO' INFO: [Project 1-454] Reading design checkpoint 'e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.dcp' for cell 'clk_wiz'
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.007 . Memory (MB): peak = 2947.160 ; gain = 0.000
// Tcl Message: INFO: [Netlist 29-17] Analyzing 93 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.1 INFO: [Project 1-570] Preparing netlist for logic optimization
// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc:57] INFO: [Timing 38-2] Deriving generated clocks [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc:57]
// Tcl Message: Finished Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc] for cell 'clk_wiz/inst' Parsing XDC File [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc]
// Tcl Message: Finished Parsing XDC File [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc]
// Tcl Message: INFO: [Project 1-1714] 2 XPM XDC files have been applied to the design. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2947.160 ; gain = 0.000
// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed.
// 'dA' command handler elapsed time: 10 seconds
// Device view-level: 0.1
dismissDialog("Open Synthesized Design"); // bq
// Device view-level: 0.0
selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f
dismissDialog("Critical Messages"); // F
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Edit Timing Constraints]", 18, false); // f
// Run Command: PAResourceCommand.PACommandNames_TIMING_CONSTRAINTS_WINDOW
// Elapsed time: 37 seconds
selectTree(PAResourceTtoZ.XdcCategoryTree_XDC_CATEGORY_TREE, "[All Categories (4), Clocks (2), Create Clock (1)]", 1, false); // ba
selectTree(PAResourceTtoZ.XdcCategoryTree_XDC_CATEGORY_TREE, "[All Categories (4), Clocks (2), Create Clock (1)]", 1, false, false, false, false, false, true); // ba - Double Click
// Run Command: PAResourceCommand.PACommandNames_XDC_CREATE_CLOCK
// Elapsed time: 10 seconds
setText(PAResourceAtoD.ClockCreationPanel_CLOCK_NAME, "clk"); // Q
selectButton(PAResourceQtoS.SdcGetObjectsPanel_SPECIFY_CLOCK_SOURCE_OBJECTS, (String) null); // r
selectButton(PAResourceEtoH.GetObjectsDialog_FIND, "Find"); // a
dismissDialog("Find Names"); // bq
selectList(RDIResource.HDualList_FIND_RESULTS, "clk", 0); // f
selectList(RDIResource.HDualList_FIND_RESULTS, "clk", 0, false, false, false, false, true); // f - Double Click
selectButton(PAResourceEtoH.GetObjectsPanel_SET, "Set"); // a
dismissDialog("Specify Clock Source Objects"); // o
// Elapsed time: 26 seconds
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// Tcl Message: create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]
// 'c' command handler elapsed time: 49 seconds
// Run Command: PAResourceCommand.PACommandNames_TIMING_CONSTRAINTS_WINDOW
dismissDialog("Apply XDC Constraints"); // bq
// Elapsed time: 20 seconds
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, " ; create_clock -name sysclk-period 20 [get_ports clk] ; ", 8, "create_clock -name sysclk-period 20 [get_ports clk]", 1, false); // u.a
// Elapsed time: 42 seconds
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, " ; ; ; ; ; ; ; ; ; ", 2, (String) null, 0); // e
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, " ; create_clock -name sysclk-period 20 [get_ports clk] ; ", 8, "create_clock -name sysclk-period 20 [get_ports clk]", 1, false); // u.a
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, " ; create_clock -name sysclk-period 20 [get_ports clk] ; ", 8, "create_clock -name sysclk-period 20 [get_ports clk]", 1, false, false, false, false, true, false); // u.a - Popup Trigger
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, " ; create_clock -name sysclk-period 20 [get_ports clk] ; ", 8, "create_clock -name sysclk-period 20 [get_ports clk]", 1, false); // u.a
// Elapsed time: 12 seconds
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "5 ; create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] ; ", 10, "create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]", 1, false); // u.a
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "5 ; create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] ; ", 10, (String) null, 2, false); // u.a
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, "1 ; ; 20.0 ; ; ; false ; [get_ports clk] ; e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc ; clk_wiz/inst ; ", 0, (String) null, 1); // e
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, "1 ; ; 20.0 ; ; ; false ; [get_ports clk] ; e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc ; clk_wiz/inst ; ", 0, "1", 0); // e
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, "1 ; ; 20.0 ; ; ; false ; [get_ports clk] ; e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc ; clk_wiz/inst ; ", 0, "1", 0, false, false, false, true, false); // e - Popup Trigger
selectMenuItem(PAResourceCommand.PACommandNames_GOTO_XDC_SOURCE, "Go to Source"); // ao
// Run Command: PAResourceCommand.PACommandNames_GOTO_XDC_SOURCE
// Elapsed time: 22 seconds
selectTab((HResource) null, (HResource) null, "Sources", 0); // aa
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false, false, false, false, false, true); // E - Double Click
selectCodeEditor("fpga_pin.xdc", 404, 39); // ad
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "clk_wiz_50mTo100m.xdc", 10); // o
// Elapsed time: 10 seconds
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Timing Constraints", 9); // o
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, "5 ; clk ; 10.0 ; 0.0 ; 5.0 ; false ; [get_ports clk] ; ; ; ", 1, (String) null, 7); // e
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, "5 ; clk ; 10.0 ; 0.0 ; 5.0 ; false ; [get_ports clk] ; ; ; ", 1, (String) null, 7, false, false, false, false, true); // e - Double Click
// Elapsed time: 13 seconds
selectButton(PAResourceTtoZ.XdcCreationDialog_REFERENCE, "Reference"); // a
// Tcl (Dont Echo) Command: 'create_clock -help'
selectButton(RDIResource.BaseDialog_CLOSE, "Close"); // a
dismissDialog("Command Reference: create_clock"); // bN
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Validate XDC Command"); // bq
dismissDialog("Edit Create Clock"); // aa
// Elapsed time: 21 seconds
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, "5 ; clk ; 10.0 ; 0.0 ; 5.0 ; false ; [get_ports clk] ; ; ; ", 1, "10.0", 2); // e
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, "5 ; clk ; 10.0 ; 0.0 ; 5.0 ; false ; [get_ports clk] ; ; ; ", 1, "5", 0); // e
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, "5 ; clk ; 10.0 ; 0.0 ; 5.0 ; false ; [get_ports clk] ; ; ; ", 1, "5", 0, false, false, false, false, true); // e - Double Click
setSpinner(PAResourceAtoD.ClockCreationPanel_ENTER_POSITIVE_NUMBER, "20.0"); // b
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Validate XDC Command"); // bq
dismissDialog("Edit Create Clock"); // aa
selectButton(PAResourceTtoZ.XdcEditorView_APPLY_ALL_CHANGES_TO_XDC_CONSTRAINTS, "Apply"); // a
// TclEventType: SDC_CONSTR_MGR_CLEAR
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
dismissDialog("Apply All XDC Constraints"); // bq
// Elapsed time: 19 seconds
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "5 ; create_clock -period 20.000 -name clk -waveform {0.000 10.000} [get_ports clk] ; ", 7, "create_clock -period 20.000 -name clk -waveform {0.000 10.000} [get_ports clk]", 1, false, false, false, false, true, false); // u.a - Popup Trigger
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "5 ; create_clock -period 20.000 -name clk -waveform {0.000 10.000} [get_ports clk] ; ", 7, "create_clock -period 20.000 -name clk -waveform {0.000 10.000} [get_ports clk]", 1, false); // u.a
// Elapsed time: 13 seconds
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Timing Summary]", 20, false); // f
// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
// 'p' command handler elapsed time: 9 seconds
dismissDialog("Report Timing Summary"); // ag
// TclEventType: TIMING_RESULTS_STALE
// TclEventType: TIMING_SUMMARY_UPDATED
// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
// Tcl Message: INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
// Elapsed time: 12 seconds
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Design Timing Summary]", 2, false); // a
// Elapsed time: 27 seconds
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Design Timing Summary]", 2, false); // a
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Clock Summary]", 3, false); // a
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Clock Summary]", 3, false, false, false, false, false, true); // a - Double Click
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Design Timing Summary]", 2, false); // a
// Elapsed time: 56 seconds
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Check Timing]", 5, true); // a - Node
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Check Timing, no_input_delay]", 10, false, false, false, false, false, true); // a - Double Click
selectTable(PAResourceAtoD.CheckTimingSectionPanel_CHECK_TIMING_SELECTION_TABLE, "unconstrained_internal_endpoints ; 692 ; High", 0, "High", 2); // b
selectTable(PAResourceAtoD.CheckTimingSectionPanel_CHECK_TIMING_SELECTION_TABLE, "unconstrained_internal_endpoints ; 692 ; High", 0, "High", 2); // b
selectTable(PAResourceAtoD.CheckTimingSectionPanel_CHECK_TIMING_SELECTION_TABLE, "unconstrained_internal_endpoints ; 692 ; High", 0, "High", 2, false, false, false, false, true); // b - Double Click
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Check Timing, no_clock]", 6, false); // a
// Elapsed time: 25 seconds
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Check Timing, no_clock]", 6, false); // a
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Check Timing]", 5, true); // a - Node
// Elapsed time: 108 seconds
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, " ; create_clock -name sysclk-period 20 [get_ports clk] ; ", 9, "create_clock -name sysclk-period 20 [get_ports clk]", 1, false); // u.a
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, " ; create_clock -name sysclk-period 20 [get_ports clk] ; ", 9, "create_clock -name sysclk-period 20 [get_ports clk]", 1, false, false, false, false, true, false); // u.a - Popup Trigger
selectMenuItem(PAResourceCommand.PACommandNames_GOTO_XDC_SOURCE, "Go to Source"); // ao
// Run Command: PAResourceCommand.PACommandNames_GOTO_XDC_SOURCE
selectCodeEditor("fpga_pin.xdc", 2, 15); // ad
selectCodeEditor("fpga_pin.xdc", 372, 40); // ad
// TclEventType: DESIGN_STALE
// TclEventType: FILE_SET_CHANGE
selectCodeEditor("fpga_pin.xdc", 17, 11); // ad
selectCodeEditor("fpga_pin.xdc", 157, 46); // ad
// TclEventType: DESIGN_STALE
// TclEventType: FILE_SET_CHANGE
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Edit Timing Constraints]", 18, false); // f
// Run Command: PAResourceCommand.PACommandNames_TIMING_CONSTRAINTS_WINDOW
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, "5 ; clk ; 20.0 ; 0.0 ; 10.0 ; false ; [get_ports clk] ; ; ; ", 1, (String) null, 7); // e
selectButton(PAResourceTtoZ.XdcTableEditorsPanel_CREATE_NEW_TIMING_CONSTRAINT, (String) null); // B
// Run Command: PAResourceCommand.PACommandNames_XDC_CREATE_CLOCK
selectButton(PAResourceOtoP.ProjectTab_RELOAD, "Reload"); // a
// 'c' command handler elapsed time: 4 seconds
dismissDialog("Design Modified on Disk"); // bI.a
// TclEventType: DESIGN_REFRESH
// TclEventType: TIMING_RESULTS_UNLOAD
// HMemoryUtils.trashcanNow. Engine heap size: 2,633 MB. GUI used memory: 154 MB. Current time: 9/23/23, 3:44:15 PM CST
// Engine heap size: 2,633 MB. GUI used memory: 154 MB. Current time: 9/23/23, 3:44:15 PM CST
// Tcl Message: refresh_design
// TclEventType: DEBUG_GRAPH_STALE
// TclEventType: READ_XDC_FILE_START
// TclEventType: READ_XDC_FILE_END
// TclEventType: READ_XDC_FILE_START
// TclEventType: READ_XDC_FILE_END
// TclEventType: READ_XDC_FILE_START
// TclEventType: POWER_CNS_STALE
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: READ_XDC_FILE_END
// TclEventType: READ_XDC_FILE_START
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: SIGNAL_MODIFY
// TclEventType: READ_XDC_FILE_END
// TclEventType: READ_XDC_FILE_START
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: READ_XDC_FILE_END
// TclEventType: FLOORPLAN_MODIFY
// TclEventType: DESIGN_REFRESH
// HMemoryUtils.trashcanNow. Engine heap size: 2,633 MB. GUI used memory: 157 MB. Current time: 9/23/23, 3:44:15 PM CST
// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
// DeviceView Instantiated
// Tcl Message: INFO: [Project 1-570] Preparing netlist for logic optimization
// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc:57] INFO: [Timing 38-2] Deriving generated clocks [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc:57]
// Tcl Message: Finished Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc] for cell 'clk_wiz/inst' Parsing XDC File [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc] Finished Parsing XDC File [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc]
// Tcl Message: INFO: [Project 1-1714] 2 XPM XDC files have been applied to the design. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
// RouteApi: Init Delay Mediator Swing Worker Finished
dismissDialog("Reloading"); // bq
// Elapsed time: 14 seconds
selectButton(PAResourceCommand.PACommandNames_CREATE_TIMING_CONSTRAINT, (String) null); // aN.a
selectMenu(PAResourceTtoZ.XdcEditorPanel_CREATE_CLOCK_CONSTRAINT, "Clocks"); // al
selectMenuItem(PAResourceCommand.PACommandNames_XDC_CREATE_CLOCK, "Create Clock..."); // ao
// Run Command: PAResourceCommand.PACommandNames_XDC_CREATE_CLOCK
setText(PAResourceAtoD.ClockCreationPanel_CLOCK_NAME, "clk"); // Q
selectButton(PAResourceQtoS.SdcGetObjectsPanel_SPECIFY_CLOCK_SOURCE_OBJECTS, (String) null); // r
selectButton(PAResourceEtoH.GetObjectsDialog_FIND, "Find"); // a
dismissDialog("Find Names"); // bq
selectList(RDIResource.HDualList_FIND_RESULTS, "clk", 0); // f
selectList(RDIResource.HDualList_FIND_RESULTS, "clk", 0, false, false, false, false, true); // f - Double Click
selectButton(PAResourceEtoH.GetObjectsPanel_APPEND, "Append"); // a
dismissDialog("Specify Clock Source Objects"); // o
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// Tcl Message: create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]
// 'c' command handler elapsed time: 13 seconds
// Run Command: PAResourceCommand.PACommandNames_TIMING_CONSTRAINTS_WINDOW
dismissDialog("Apply XDC Constraints"); // bq
selectButton(PAResourceTtoZ.XdcTableEditorsPanel_EDIT_EXISTING_TIMING_CONSTRAINT, (String) null); // B
dismissDialog("Edit Create Clock"); // aa
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "<unsaved constraints> ; ; ", 6, "<unsaved constraints>", 0, true); // u.a - Node
collapseTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "<unsaved constraints> ; ; ", 6); // u.a
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "4 ; set_false_path -to [get_cells {syncstages_ff_reg[0]}] ; FIFO/U0/inst_fifo_gen/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.gnrst_full.gsckt_bsy_o.xpm_cdc_sync_rst_sckt_bsy_o", 5, "4", 0, false, false, false, false, false, true); // u.a - Double Click
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "<unsaved constraints> ; ; ", 6, "<unsaved constraints>", 0, true, false, false, false, true, false); // u.a - Popup Trigger - Node
selectMenuItem(RDIResource.AbstractSelectableTablePanel_EXPORT_TO_SPREADSHEET, "Export to Spreadsheet..."); // ao
selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
dismissDialog("Export Table to Spreadsheet"); // v
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "<unsaved constraints> ; ; ", 6, "<unsaved constraints>", 0, true); // u.a - Node
expandTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "<unsaved constraints> ; ; ", 6); // u.a
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "5 ; create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] ; ", 7, "create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]", 1, false); // u.a
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "5 ; create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] ; ", 7, "create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]", 1, false); // u.a
expandTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "5 ; create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] ; ", 7); // u.a
selectTreeTable(PAResourceTtoZ.XdcViewerTreeTablePanel_XDC_VIEWER_TREE_TABLE, "5 ; create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk] ; ", 7, "create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]", 1, false, false, false, false, false, true); // u.a - Double Click
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, "5 ; clk ; 10.0 ; 0.0 ; 5.0 ; false ; [get_ports clk] ; ; ; ", 1, "10.0", 2); // e
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, "5 ; clk ; 10.0 ; 0.0 ; 5.0 ; false ; [get_ports clk] ; ; ; ", 1, "10.0", 2, false, false, false, false, true); // e - Double Click
setSpinner(PAResourceAtoD.ClockCreationPanel_ENTER_POSITIVE_NUMBER, "20.0"); // b
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Validate XDC Command"); // bq
dismissDialog("Edit Create Clock"); // aa
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false, false, false, false, false, true); // E - Double Click
selectCodeEditor("fpga_pin.xdc", 502, 13); // ad
typeControlKey((HResource) null, "fpga_pin.xdc", 'v'); // ad
typeControlKey(null, null, 'z');
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false); // E
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Timing Constraints *", 9); // o
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, "5 ; clk ; 20.0 ; 0.0 ; 10.0 ; false ; [get_ports clk] ; ; ; ", 1, "clk", 1); // e
selectTable(PAResourceEtoH.EditCreateClockTablePanel_EDIT_CREATE_CLOCK_TABLE, "5 ; clk ; 20.0 ; 0.0 ; 10.0 ; false ; [get_ports clk] ; ; ; ", 1, "clk", 1, false, false, false, false, true); // e - Double Click
selectMenuItem(RDIResourceCommand.RDICommands_COPY, "Copy"); // ao
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Validate XDC Command"); // bq
dismissDialog("Edit Create Clock"); // aa
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false); // E
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints, constrs_1, fpga_pin.xdc]", 11, false, false, false, false, false, true); // E - Double Click
selectCodeEditor("fpga_pin.xdc", 491, 14); // ad
typeControlKey((HResource) null, "fpga_pin.xdc", 'v'); // ad
selectCodeEditor("fpga_pin.xdc", 778, 141); // ad
selectCodeEditor("fpga_pin.xdc", 775, 181); // ad
// TclEventType: DESIGN_STALE
// TclEventType: FILE_SET_CHANGE
selectButton(PAResourceOtoP.ProjectTab_RELOAD, "Reload"); // g
// HOptionPane Warning: 'There are unsaved changes in 'Synthesized Design - synth_1' that would be lost. OK to reload? (Reload Design)'
selectButton("OptionPane.button", "OK"); // JButton
// TclEventType: DESIGN_REFRESH
// HMemoryUtils.trashcanNow. Engine heap size: 2,633 MB. GUI used memory: 170 MB. Current time: 9/23/23, 3:46:00 PM CST
// Engine heap size: 2,633 MB. GUI used memory: 170 MB. Current time: 9/23/23, 3:46:00 PM CST
// Tcl Message: refresh_design
// TclEventType: DEBUG_GRAPH_STALE
// TclEventType: READ_XDC_FILE_START
// TclEventType: READ_XDC_FILE_END
// TclEventType: READ_XDC_FILE_START
// TclEventType: READ_XDC_FILE_END
// TclEventType: READ_XDC_FILE_START
// TclEventType: POWER_CNS_STALE
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: READ_XDC_FILE_END
// TclEventType: READ_XDC_FILE_START
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: PLACEDB_MODIFY_PRE
// TclEventType: LOC_CONSTRAINT_ADD
// TclEventType: SIGNAL_MODIFY
// TclEventType: READ_XDC_FILE_END
// TclEventType: READ_XDC_FILE_START
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: READ_XDC_FILE_END
// TclEventType: FLOORPLAN_MODIFY
// TclEventType: DESIGN_REFRESH
// HMemoryUtils.trashcanNow. Engine heap size: 2,633 MB. GUI used memory: 170 MB. Current time: 9/23/23, 3:46:01 PM CST
// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
// DeviceView Instantiated
// Tcl Message: INFO: [Project 1-570] Preparing netlist for logic optimization
// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc:57] INFO: [Timing 38-2] Deriving generated clocks [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc:57]
// Tcl Message: Finished Parsing XDC File [e:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.gen/sources_1/ip/clk_wiz_50mTo100m/clk_wiz_50mTo100m.xdc] for cell 'clk_wiz/inst' Parsing XDC File [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc]
// Tcl Message: Finished Parsing XDC File [E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/constrs_1/new/fpga_pin.xdc]
// Tcl Message: INFO: [Project 1-1714] 2 XPM XDC files have been applied to the design. INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
// RouteApi: Init Delay Mediator Swing Worker Finished
dismissDialog("Reloading"); // bq
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // f
// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
// TclEventType: RUN_MODIFY
dismissDialog("Run Synthesis"); // u
// TclEventType: RUN_RESET
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_RESET
// TclEventType: DESIGN_STALE
// TclEventType: RUN_RESET
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_RESET
// TclEventType: RUN_MODIFY
// Tcl Message: reset_run synth_1
// Tcl Message: INFO: [Project 1-1161] Replacing file E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.srcs/utils_1/imports/synth_1/uart_top.dcp with file E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/synth_1/uart_top.dcp
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Launch Runs"); // f
// TclEventType: DESIGN_STALE
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_MODIFY
// Tcl Message: launch_runs synth_1 -jobs 16
// Tcl Message: [Sat Sep 23 15:46:07 2023] Launched synth_1... Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/synth_1/runme.log
dismissDialog("Starting Design Runs"); // bq
// TclEventType: FILE_SET_CHANGE
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: DESIGN_STALE
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// Elapsed time: 35 seconds
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
// Run Command: PAResourceCommand.PACommandNames_REPORTS_WINDOW
dismissDialog("Synthesis Completed"); // Q.a
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 30, false); // f
// Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Launch Runs"); // f
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_MODIFY
// Tcl Message: launch_runs impl_1 -jobs 16
// Tcl Message: [Sat Sep 23 15:46:47 2023] Launched impl_1... Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/impl_1/runme.log
dismissDialog("Starting Design Runs"); // bq
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_STEP_COMPLETED
// Elapsed time: 59 seconds
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
// Run Command: PAResourceCommand.PACommandNames_REPORTS_WINDOW
dismissDialog("Implementation Completed"); // Q.a
// Run Command: RDIResourceCommand.RDICommands_COPY
selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // o
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Open Synthesized Design, Report Timing Summary]", 20, false); // f
// Run Command: PAResourceCommand.PACommandNames_REPORT_TIMING_SUMMARY
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Report Timing Summary"); // ag
// TclEventType: TIMING_RESULTS_STALE
// TclEventType: TIMING_SUMMARY_UPDATED
// Tcl Message: report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
// Tcl Message: INFO: [Timing 38-35] Done setting XDC timing constraints. INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -2, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Clock Summary]", 3, false); // a
selectTree(PAResourceItoN.NavigableTimingReportTab_TIMING_REPORT_NAVIGATION_TREE, "[Root, Design Timing Summary]", 2, false); // a
// [GUI Memory]: 286 MB (+6538kb) [00:49:01]
// Elapsed time: 10 seconds
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Open Implemented Design, Edit Timing Constraints]", 33, false); // f
// TclEventType: SDC_CONSTRAINT_ADD
// Tcl Message: open_run impl_1
// TclEventType: POWER_CNS_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: POWER_REPORT_STALE
// TclEventType: SDC_CONSTRAINT_ADD
// TclEventType: FLOORPLAN_MODIFY
// TclEventType: DESIGN_NEW
// HMemoryUtils.trashcanNow. Engine heap size: 2,633 MB. GUI used memory: 215 MB. Current time: 9/23/23, 3:48:17 PM CST
// TclEventType: DESIGN_NEW
// TclEventType: HFED_INIT_ROUTE_STORAGE_COMPLETED
// DeviceView Instantiated
// TclEventType: CURR_DESIGN_SET
// Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.007 . Memory (MB): peak = 3392.863 ; gain = 0.000
// Tcl Message: INFO: [Netlist 29-17] Analyzing 91 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2023.1 INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Timing 38-478] Restoring timing data from binary archive. INFO: [Timing 38-479] Binary timing data restore complete. INFO: [Project 1-856] Restoring constraints from binary archive. INFO: [Project 1-853] Binary constraint restore complete.
// Tcl Message: Reading XDEF placement. Reading placer database... Reading XDEF routing.
// Tcl Message: Read XDEF Files: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.059 . Memory (MB): peak = 3398.492 ; gain = 0.000
// Tcl Message: Restored from archive | CPU: 1.000000 secs | Memory: 0.000000 MB |
// Tcl Message: Finished XDEF File Restore: Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.059 . Memory (MB): peak = 3398.492 ; gain = 0.000 Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 3398.492 ; gain = 0.000
// Tcl Message: INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed.
// RouteApi: Init Delay Mediator Swing Worker Finished
// Device view-level: 0.0
// TclEventType: DRC_ADDED
// TclEventType: METHODOLOGY_ADDED
// TclEventType: POWER_UPDATED
// TclEventType: TIMING_SUMMARY_UPDATED
// Run Command: PAResourceCommand.PACommandNames_TIMING_CONSTRAINTS_WINDOW
dismissDialog("Open Implemented Design"); // bq
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Methodology Violations"); // aO
dismissDialog("Critical Messages"); // F
// [GUI Memory]: 305 MB (+4987kb) [00:49:28]
// Elapsed time: 16 seconds
selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 44, false); // f
// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
selectButton(RDIResource.BaseDialog_OK, "OK"); // a
dismissDialog("Launch Runs"); // cw
// TclEventType: RUN_LAUNCH
// TclEventType: RUN_MODIFY
// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 16
// Tcl Message: [Sat Sep 23 15:48:42 2023] Launched impl_1... Run output will be captured here: E:/Verilog/Project/UART_LED_CMD_V2/UART_LED_CMD_V1.runs/impl_1/runme.log
// TclEventType: RUN_STATUS_CHANGE
// TclEventType: RUN_COMPLETED
// TclEventType: RUN_STATUS_CHANGE
// Elapsed time: 59 seconds
selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a
dismissDialog("Bitstream Generation Completed"); // Q.a
closeFrame(PAResourceOtoP.PAViews_NETLIST, "Netlist"); // R
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1, true); // E - Node
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, uart_top (uart_top.v)]", 1, true, false, false, false, false, true); // E - Double Click - Node
// [GUI Memory]: 321 MB (+657kb) [00:51:21]
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment