Commit 09ceeced authored by ywj's avatar ywj

Initial commit

parent 6812b06a
...@@ -26,3 +26,22 @@ launch_runs impl_1 -jobs 16 ...@@ -26,3 +26,22 @@ launch_runs impl_1 -jobs 16
wait_on_run impl_1 wait_on_run impl_1
launch_runs impl_1 -to_step write_bitstream -jobs 16 launch_runs impl_1 -to_step write_bitstream -jobs 16
wait_on_run impl_1 wait_on_run impl_1
reset_run synth_1
launch_runs impl_1 -jobs 16
wait_on_run impl_1
close_design
open_run synth_1 -name synth_1
create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]
report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
refresh_design
create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]
refresh_design
reset_run synth_1
launch_runs synth_1 -jobs 16
wait_on_run synth_1
launch_runs impl_1 -jobs 16
wait_on_run impl_1
report_timing_summary -delay_type min_max -report_unconstrained -check_timing_verbose -max_paths 10 -input_pins -routable_nets -name timing_1
open_run impl_1
launch_runs impl_1 -to_step write_bitstream -jobs 16
wait_on_run impl_1
This diff is collapsed.
This diff is collapsed.
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment